Pentium II

From Wikipedia, the free encyclopedia
(Redirected from Intel Pentium II)

Pentium II
Original Pentium II MMX Case Badge
General information
LaunchedMay 7 1997; 26 years ago (May 7 1997)
DiscontinuedDecember 26 2003; 20 years ago (December 26 2003)[1]
Marketed byIntel
Designed byIntel
Common manufacturer(s)
  • Intel
CPUID codeKlamath: 80522
Deschutes and Tonga: 80523
Dixon: 80524
Performance
Max. CPU clock rate233 MHz to 450 MHz
FSB speeds66 MT/s to 100 MT/s
Cache
L1 cache32 KB (16 KB data + 16 KB instructions)
L2 cache256 KB - 512 KB
Architecture and classification
Technology node350 nm to 180 nm
MicroarchitectureP6
Instruction setIA-32
Extensions
Physical specifications
Transistors
  • Klamath: 7.5 million
  • Deschutes: 7.5 million
  • Tonga: 7.5 million
  • Dixon: 27.4 million
Cores
  • 1
Socket(s)
Products, models, variants
Core name(s)
  • Klamath (desktop)
  • Deschutes (desktop)
  • Tonga (mobile)
  • Dixon (mobile)
History
Predecessor(s)Pentium, Pentium Pro, Pentium MMX
Successor(s)Pentium III, Celeron, Pentium 4
Support status
Unsupported
Pentium II processor with MMX technology, SECC cartridge.

The Pentium II[2] brand refers to Intel's sixth-generation microarchitecture ("P6") and x86-compatible microprocessors introduced on May 7, 1997. Containing 7.5 million transistors (27.4 million in the case of the mobile Dixon with 256 KB on-die L2 cache), the Pentium II featured an improved version of the first P6-generation core of the Pentium Pro, which contained 5.5 million transistors. However, its L2 cache subsystem was a downgrade when compared to the Pentium Pros. It is a single-core microprocessor.

In 1998, Intel stratified the Pentium II family by releasing the Pentium II-based Celeron line of processors for low-end computers and the Pentium II Xeon line for servers and workstations. The Celeron was characterized by a reduced or omitted (in some cases present but disabled) on-die full-speed L2 cache and a 66 MT/s FSB. The Xeon was characterized by a range of full-speed L2 cache (from 512 KB to 2048 KB), a 100 MT/s FSB, a different physical interface (Slot 2), and support for symmetric multiprocessing.

In February 1999, the Pentium II was replaced by the nearly identical Pentium III, which only added the then-new SSE instruction set. However, the older family would continue to be produced until June 2001 for desktop units,[3] September 2001 for mobile units,[4] and the end of 2003 for embedded devices.[1]

Overview[edit]

The Pentium II microprocessor was largely based upon the microarchitecture of its predecessor, the Pentium Pro, but with some significant improvements.[5]

Unlike previous Pentium and Pentium Pro processors, the Pentium II CPU was packaged in a slot-based module rather than a CPU socket. The processor and associated components were carried on a daughterboard similar to a typical expansion board within a plastic cartridge. A fixed or removable heatsink was carried on one side, sometimes using its own fan.[6]

This larger package was a compromise allowing Intel to separate the secondary cache from the processor while still keeping it on a closely coupled back-side bus. The L2 cache ran at half the processor's clock frequency, unlike the Pentium Pro, whose off die L2 cache ran at the same frequency as the processor. However, its associativity was increased to 16-way (compared to 4-way on the Pentium Pro) and its size was always 512 KB, twice of the smallest option of 256 KB on the Pentium Pro. Off-package cache solved the Pentium Pro's low yield issues, allowing Intel to introduce the Pentium II at a mainstream price level.[7][8]

Intel improved 16-bit code execution performance on the Pentium II, an area in which the Pentium Pro was at a notable handicap, by adding segment register caches. Most consumer software of the day was still using at least some 16-bit code, because of a variety of factors. The issues with partial registers was also addressed by adding an internal flag to skip pipeline flushes whenever possible.[9] To compensate for the slower L2 cache, the Pentium II featured 32 KB of L1 cache, double that of the Pentium Pro, as well as 4 write buffers (vs. 2 on the Pentium Pro); these can also be used by either pipeline, instead of each one being fixed to one pipeline.[10][11] The Pentium II was also the first P6-based CPU to implement the Intel MMX integer SIMD instruction set which had already been introduced on the Pentium MMX.[7]

The Pentium II was a more consumer-oriented version of the Pentium Pro. It was cheaper to manufacture because of the separate, slower L2 cache memory. The improved 16-bit performance and MMX support made it a better choice for consumer-level operating systems, such as Windows 9x, and multimedia applications. The slower and cheaper L2 cache's performance penalty was mitigated by the doubled L1 cache and architectural improvements for legacy code. General processor performance was increased while costs were cut.[7][12]

All Klamath and some early Deschutes Pentium IIs use a combined L2 cache controller / tag RAM chip that only allows for 512 MB to be cached; while more RAM could be installed in theory, this would result in very slow performance. While this limit was practically irrelevant for the average home user at the time, it was a concern for some workstation or server users. Presumably, Intel put this limitation deliberately in place to distinguish the Pentium II from the more upmarket Pentium Pro line, which has a full 4 GB cacheable area. The '82459AD' revision of the chip on some 333 MHz and all 350 MHz and faster Pentium IIs lifted this restriction and also offered a full 4 GB cacheable area.[13][14]

Variants[edit]

Klamath[edit]

The original Klamath Pentium II microprocessor (Intel product code 80522) ran at 233, 266, and 300 MHz and was produced in a 0.35 μm process.[7][15] The 300 MHz version, however, only became available in large quantities later in 1997.[15] These CPUs had a 66 MHz front-side bus and were initially used on motherboards equipped with the aging Intel 440FX Natoma chipset designed for the Pentium Pro.[16] Pentium II-based systems using the Intel 440LX Balboa chipset widely popularized SDRAM (which was to replace EDO RAM and was already introduced with 430VX), and the AGP graphics bus.[17]

On July 14, 1997, Intel announced a version of the Pentium II Klamath with 2× 72-bit ECC L2 cache for entry-level servers, as opposed to the 2× 64-bit non-ECC L2 cache on regular models.[18] The extra bits give it error-correction capability built into hardware, without impacting performance. The variant can be determined through the CPU part number.

In Intel's "Family/Model/Stepping" scheme, Klamath CPUs are family 6, model 3.

Deschutes[edit]

The Deschutes core Pentium II (80523), which debuted at 333 MHz in January 1998, was produced with a 0.25 μm process and has a significantly lower power draw.[15] The die size is 113 mm2. The 333 MHz variant was the final Pentium II CPU that used the older 66 MT/s front-side bus; all subsequent Deschutes-core models used a 100 MT/s FSB. Later in 1998, Pentium IIs running at 266, 300, 350, 400, and 450 MHz were also released.[15] The Deschutes core introduced FXSAVE and FXRSTOR instructions for fast FPU context save and restore.[19] Towards the end of its design life, Deschutes chips capable of 500 MHz within Intel cooling and design specifications were produced. However, these were not marketed. Rather than destroy already multiplier-locked units, those Deschutes units that had been tested and locked with a multiplier of 5 were sold as being 333 MHz. This was accomplished by disabling the 100 MHz bus option. Overclockers, upon learning of this, purchased the units in question and ran them well over 500 MHz; most notably, when overclocking, the final batch of "333 MHz" CPUs were capable of speeds much higher than CPUs sold at 350, 400, or 450 MHz.[citation needed]

Concurrent with the release of Deschutes cores supporting a 100 MT/s front-side bus was Intel's release of the 440BX Seattle chipset and its derivatives, the 440MX, 450NX, and 440ZX chipsets. Replacing the aged 66 MHz FSB, which had been on the market since 1993, the 100 MHz FSB resulted in solid performance improvements for the Pentium II lineup. Pentium II chips starting with 350 MHz were released in both SECC and SECC2 form factors. Late Pentium IIs also marked the switch to flip-chip based packaging with direct heatsink contact to the die, as opposed to traditional bonding.

While Klamath features 4 cache chips and simulates dual-porting through interleaving (2x 64-bit) for a slight performance improvement on concurrent accesses, Deschutes only sports 2 cache chips and offers slightly lower L2 cache performance at the same clockspeed. Furthermore, Deschutes always features ECC-enabled L2 cache.[20]

Pentium II Xeon 450 MHz with 512 KB cache. Cartridge cover has been removed.

The Pentium II Xeon was a high-end version of Deschutes core intended for use on workstations and servers. Principally, it used a different type of slot (Slot 2), case, board design, and more expensive full-speed custom L2 cache, which was off-die. Versions were produced with 512 KB, 1 MB or 2 MB L2 caches by varying the number of 512 KB chips incorporated on the board.[21]

Pentium II Overdrive without heatsink. Deschutes core on left, cache on right

In Intel's "Family/Model/Stepping" scheme, Deschutes CPUs are family 6, model 5 and have the part number 80523.

Pentium II OverDrive[edit]

In 1998, the 0.25 μm Deschutes core was utilized in the creation of the Pentium II Overdrive processor, which was aimed at allowing corporate Pentium Pro users to upgrade their aging servers. Combining the Deschutes core in a flip-chip package with a 512 KB full-speed L2 cache chip from the Pentium II Xeon into a Socket 8-compatible module resulted in a 300 or 333 MHz processor that could run on a 60 or 66 MHz front-side bus. This combination brought together some of the more attractive aspects of the Pentium II and the Pentium II Xeon: MMX support/improved 16-bit performance and full-speed L2 cache, respectively.[22] The later "Dixon" mobile Pentium II would emulate this combination with 256 KB of full-speed cache.

In Intel's "Family/Model/Stepping" scheme, the Pentium II OverDrive CPU identifies itself as family 6, model 3, though this is misleading, as it is not based on the family 6/model 3 Klamath core. As mentioned in the Pentium II Processor update documentation from Intel, "although this processor has a CPUID of 163xh, it uses a Pentium II processor CPUID 065xh processor core."[23]

Tonga[edit]

The 0.25 μm Tonga core was the first mobile Pentium II and had all of the features of the desktop models.

In Intel's "Family/Model/Stepping" scheme, Tonga CPUs are family 6, model 5.

Dixon[edit]

Pentium II Dixon die

Later, in 1999, the 0.25; 0.18 (400 MHz) μm Dixon core with 256 KB of on-die full speed cache was produced for the mobile market. Reviews showed that the Dixon core was the fastest type of Pentium II produced.[15]

In Intel's "Family/Model/Stepping" scheme, Dixon CPUs are family 6, model 6 and their Intel product code is 80524. These identifiers are shared with the Mendocino Celeron processors.

Core specifications[edit]

Desktop[edit]

A Pentium II Klamath backside with its plastic casing removed, showing the commodity L2 cache chips and the cache tag SRAM (center).
A Pentium II Deschutes, SECC2 variant. CPU core in the middle, cache on the right.
Deschutes die shot

Klamath (80522)[edit]

  • L1 cache: 16 + 16 KB (Data + Instructions)
  • L2 cache: 512 KB, as external chips on the CPU module clocked at half the CPU frequency.
  • Packaging: Slot 1 module
  • MMX
  • Front-side bus: 66 MT/s, GTL+
  • VCore: 2.8 V
  • Process: 350 nm CMOS
  • First release: May 7, 1997
  • Clockrate: 233, 266, 300 MHz

Deschutes (80523)[edit]

  • L1 cache: 16 + 16 KB (Data + Instructions)
  • L2 cache: 512 KB, as external chips on the CPU module clocked at half the CPU frequency.
  • Packaging: Slot 1 module
  • MMX
  • Front-side bus: 66, 100 MT/s, GTL+
  • VCore: 2.0 V
  • Process: 250 nm CMOS
  • First release: January 26, 1998
  • Clockrate: 266–450 MHz
    • 66 MT/s FSB : 266, 300, 333 MHz
    • 100 MT/s FSB: 350, 400, 450 MHz

Deschutes (Pentium II Overdrive)[edit]

  • L1 cache: 16 + 16 KB (Data + Instructions)
  • L2 cache: 512 KB external chip on CPU module running at 100% of CPU speed
  • Socket: Socket 8
  • Front-side bus: 60 or 66 MT/s, GTL+
  • VCore: 3.1–3.3 V (Has on-board voltage regulator)
  • Fabrication: 250 nm
  • Based on the Deschutes-generation Pentium II
  • First release: 1998
  • Supports MMX technology
  • The sSpec number SL2KE denotes a Pentium II Overdrive sold with an integrated heatsink/fan combination for Socket 8. [Note that the sSpec number SL2EA denotes a Pentium II Overdrive sold with an integrated heatsink but no fan for Slot 1.]

Mobile[edit]

Tonga (80523)[edit]

Mobile Pentium II (Tonga).

Mobile Pentium II

  • L1 cache: 16 + 16 KB (Data + Instructions)
  • L2 cache: 512 KB, as external chips on the CPU module clocked at half the CPU frequency.
  • Package: MMC-1, MMC-2, Mini-Cartridge
  • MMX
  • Front-side bus: 66 MT/s, GTL+
  • VCore: 1.6 V
  • Process: 250 μm CMOS
  • First release: April 2, 1998
  • Clockrate: 233, 266, 300 MHz

Dixon (80524)[edit]

Mobile Intel Pentium II (Dixon) 400 MHz.

Mobile Pentium II PE ("Performance Enhanced")

  • L1 cache: 16 + 16 KB (Data + Instructions)
  • L2 cache: 256 KB, on-die, full speed.
  • Package: BGA1, MMC-1, MMC-2, μPGA1 PPGA-B615
  • MMX
  • Front-side bus: 66, 100 MT/s, GTL+
  • VCore: 1.5, 1.55, 1.6, 2.0 V
  • Process: 250, 180 (400 MHz)  nm CMOS
  • First release: January 25, 1999
  • Clockrate: 266, 300, 333, 366, 400 MHz
  • Containing 27.4 million transistors
  • Die size (semiconductor chip) is 10.36 mm x 17.36 mm = 179.8496 mm2

See also[edit]

References[edit]

  1. ^ a b "Product Change Notification #102659-02" (PDF). Intel. August 14, 2002. Archived from the original (PDF) on March 20, 2003. Retrieved October 14, 2019.
  2. ^ "Microprocessor Hall of Fame". Intel. Archived from the original on July 6, 2007. Retrieved August 11, 2007.
  3. ^ "Product Change Notification #896" (PDF). Intel. January 14, 2000. Archived from the original (PDF) on September 30, 2000. Retrieved October 14, 2019.
  4. ^ "Product Change Notification #954" (PDF). Intel. March 13, 2000. Archived from the original (PDF) on August 15, 2000. Retrieved October 14, 2019.
  5. ^ "MMX™ Microarchitecture of Pentium Processors With MMX Technology and Pentium II Microprocessors" (PDF). Intel Technology Journal: 5. 1997. Archived from the original (PDF) on January 12, 2011. Retrieved September 1, 2017.
  6. ^ Pabst, Thomas. Intel's Slot 1 CPUs Uncovered, Tom's Hardware, May 3, 1998.
  7. ^ a b c d Pabst, Thomas. The Intel Pentium II ('Klamath') CPU, Tom's Hardware, March 1, 1997.
  8. ^ Lal Shimpi, Anand. Intel Pentium II, Anandtech, May 30, 1997.
  9. ^ "Partial Register Stall". qcd.phys.cmu.edu. Archived from the original on January 6, 2018. Retrieved April 23, 2019.
  10. ^ "Pentium II Processor Developer's Manual" (PDF). 1997. pp. 2–14. Retrieved September 1, 2017.
  11. ^ [1], PC Guide, accessed July 16, 2016.
  12. ^ Pabst, Thomas. The Empire Strikes Back: Intel's Pentium II CPU, Tom's Hardware, April 30, 1997.
  13. ^ [2], Tom's Hardware, accessed July 16, 2016.
  14. ^ [3], PC Guide, accessed July 16, 2016.
  15. ^ a b c d e IA-32 implementation Intel P2 (incl. Celeron and Xeon) Archived 2007-09-27 at archive.today, SandPile.org, accessed May 5, 2007.
  16. ^ Intel 440FX, PCGuide, accessed May 5, 2007.
  17. ^ Intel 440LX, PCGuide, accessed May 5, 2007.
  18. ^ [4], Intel, accessed February 4, 2017.
  19. ^ "EE Times - News". Archived from the original on December 5, 1998. Retrieved October 14, 2019.
  20. ^ Schnurer, Georg (January 29, 1998). "333: Pentium II, die Dritte". c't – magazin für computertechnik. Trends & News (in German). 1998 (3). Heise Verlag: 122. Archived from the original on February 22, 2017. Retrieved September 6, 2017.
  21. ^ Pabst, Thomas. Intel's Pentium II Xeon Processor, Tom's Hardware, July 2, 1998.
  22. ^ Wayback machine archive of Heise, accessed June 17, 2009
  23. ^ "Specification Update for the Pentium II Processor" (PDF). Archived from the original (PDF) on August 31, 2011.

External links[edit]

Intel datasheets

Preceded by Pentium II
1997-1999
Succeeded by