PowerPC 400

From Wikipedia, the free encyclopedia

The PowerPC 400 family is a line of 32-bit embedded RISC processor cores based on the PowerPC or Power ISA instruction set architectures. The cores are designed to fit inside specialized applications ranging from system-on-a-chip (SoC) microcontrollers, network appliances, application-specific integrated circuits (ASICs) and field-programmable gate arrays (FPGAs) to set-top boxes, storage devices and supercomputers.

Applied Micro Circuits Corporation (AMCC) bought assets concerning the 400 family cores from IBM in April 2004 for $227 million, and they now market the processors under their own name. IBM continues evolving the cores while supplying design and foundry services around the cores. Several cores are also available for licensing by OEMs from IBM and Synopsys.

Variants[edit]

Name Pipeline stages
PowerPC 401 3
PowerPC 405 5
PowerPC 440 7
PowerPC 470 9

PowerPC 403[edit]

Introduced in 1994, the PowerPC 403 was one of the first PowerPC processors. It was the first one targeted strictly to the embedded market. Compared to the other PowerPC processors of the era (PowerPC 601, PowerPC 603 and PowerPC 604), it was at the very low end, lacking a memory management unit (MMU) or floating point unit (FPU), for instance. The core was offered for custom chips and in pre packaged versions, including versions with MMU, speeds ranging from 20 to 80 MHz.

The PowerPC 403 is used in, among other appliances, thin clients, set-top boxes, RAID-controllers, network switches and printers. The first TiVo used a 54 MHz PowerPC 403GCX.

AMCC acquired the design of 403 from IBM in 2004, but have chosen not to market it, instead focusing on the 405 and 440 cores.

PowerPC 401[edit]

While the 403 was popular, it was also too high performance and too costly for some applications, so in 1996 IBM released a bare bones PowerPC core, called PowerPC 401. It has a single issue, three-stage pipeline, with no MMU or DMA and only 2 KB instruction and 1 KB data L1 caches. The design contained just 85,000 transistors in all and operated at up to 100 MHz, drawing only 0.1 W or less. Applications using the 401 core range from set-top boxes and telecom switches to printers and fax machines.[1][2]

PowerPC 405[edit]

PowerPC 405GP in a Sun StorageTek SL8500
IBM STB04500 in a Dilog DT 550. A set top box powered by a 252 MHz PowerPC 405 based SoC.

The PowerPC 405 was released in 1998 and was designed for price or performance sensitive low-end embedded system-on-a-chip (SoC) designs. It has a five-stage pipeline, separate 16 KB instruction and data L1 caches, a CoreConnect bus, an Auxiliary Processing Unit (APU) interface for expandability and supports clock rates exceeding 400 MHz. The 405 core adheres to the Power ISA v.2.03 using the Book III-E specification. Both AMCC and IBM are developing and marketing processors using 405 cores. IBM and Synopsys also offers a fully synthesizable core. IBM has announced plans to make the specifications of the PowerPC 405 core freely available to the academic and research community.[3]

PowerPC-405-based applications include digital cameras, modems, set-top boxes (IBM's STB04xxx processors[4]), cellphones, GPS-devices, printers, fax machines, network cards, network switches, storage devices and service processors for servers. Up to two 405 cores are used in Xilinx Virtex-II Pro and Virtex-4 FPGAs. In 2004 Hifn bought IBM's PowerNP network processors that uses 405 cores.[5][6][7][8]

PlayStation 2
Later versions of the PlayStation 2 slim used a PowerPC 405 chip emulating the MIPS R3000A that was used as the I/O processor in earlier models.[9]
V-Dragon
The Chinese company Culturecom uses a 405 core for its V-Dragon processor which powers Linux terminals and set-top-boxes. The V-Dragon processor includes the Multilingual Character Generation Engine (MCGE) that processes and generates Chinese characters directly in the CPU.[10]
APM801xx
AppliedMicro has a series of system on a chip products based on PowerPC 405 core, under a new name: APM801xx. These are the most energy efficient Power Architecture products to date (Fall 2010), and supports frequencies up to 800 MHz at ~1 W, or 0.3 W idling. Made to support a wide range of applications from networking, to storage and media devices.[11]
POWER8 on-chip controller
The POWER8 processor contains an embedded on-chip power and thermal management microcontroller, called on-chip controller (OCC). Based on a PowerPC 405 processor with 512 KB of dedicated static RAM (SRAM), OCC monitors the entire chip.[12]

PowerPC 440[edit]

A 533 MHz AMCC PowerPC 440SPe processor from a RAID card in an Apple Xserve.

Introduced in 1999, the PowerPC 440 was the first PowerPC core from IBM to include the Book E extension to the PowerPC specification. It also included the CoreConnect bus technology designed to be the interface between the parts inside a PowerPC based system-on-a-chip (SoC) device.

It is a high-performance core with separate 32 KB instruction and data L1 caches, a seven-stage out-of-order dual-issue pipeline, supporting speeds of up to 800 MHz and L2 caches up to 256 KB. The core lacks a floating point unit (FPU) but it has an associated four-stage FPU that can be included using the APU (Auxiliary Processing Unit) interface. The 440 core adheres to the Power ISA v.2.03 using the Book III-E specification.

Xilinx currently incorporates one or two cores (depending on the member of the family) into the Virtex-5 FXT FPGA.

Both AMCC and IBM are developing and marketing stand alone processors using 440 cores. IBM and Synopsys also offers fully synthesized cores.

BRE440 Rad Hard SOC
Broad Reach Engineering has used the IBM 440 synthesized core to build a radiation-hardened embedded SoC that includes various peripherals (two Ethernet MACs, PCI, memory controllers, DMA controllers, EDAC and SIO), 32 KB of L1 cache, and 256 KB of L2 cache. This device was built using the Honeywell HX5000 rad hard process at 150 nm. The BRE440 runs at clock speeds ranging from tens of MHz up to 150 MHz.
QCDOC
QCDOC is a custom supercomputer built to solve small but extremely computationally demanding problems in quantum physics. It uses custom 440-based ASICs to obtain a peak performance of approximately 10 TFLOPS.
Blue Gene/L
Dual 440 cores are used in the processors powering IBM's Blue Gene/L supercomputer, which until June 2008 ranked number one on the list of the top 500 supercomputers around the world, with a peak performance of nearly 500 teraFLOPS in 2008.
SeaStar
The 440 core is also used in the Cray XT3, XT4 and XT5 supercomputers, where its SeaStar, SeaStar2 and SeaStar2+ communication processors closely couples HyperTransport memory interface with routing to other nodes in supercomputer clusters. The SeaStar device provides a 6.4 GB/s connection to the Opteron based processors across HyperTransport (together making a processing element, PE), as well as six 7.6 GB/s links to neighboring PEs. SeaStar2+ offers 9.6 GB/s intra-node bandwidth and error correcting functionality to intercept errors en route between computing nodes.
AMCC 460
The PowerPC 460EX and 460GT from AMCC are, despite their name, processors with the 440 core.[13] They are available at 0.6 to 1.2 GHz and have integrated controllers for DDR or DDR2 SDRAM, USB 2.0, PCIe, SATA, and Gigabit Ethernet.
Titan
Intrinsity designed the now defunct Titan core for AppliedMicro from the ground up using the PowerPC 440 core spec. AppliedMicro used the Titan core in their APM832xx family high performance system on a chip products but these parts never came to market.
Virtex-5 FXT
In its Virtex-5 FXT FPGA product line, Xilinx embeds up to two PPC440 cores. The embedded PPC440 has a maximum frequency of 550 MHz, and connects to the surrounding FPGA-fabric through a special crossbar switch, increasing the Virtex-5 FXT family's system performance over 2.6 times compared to the Virtex-4 FX family's embedded PPC405.
LSI SAS
LSI uses the PowerPC 440 core in a number of its SAS controller chips, including the widely used SAS2008 variant.[14]
Acalis CPU872
The Acalis CPU872 is a highly specialized Security SoC chip built by CPU Tech. It is designed for highly sensitive and mission critical systems such as military applications. It has provisions to prevent tampering and reverse engineering and is manufactured at IBM's highly secure Trusted Foundry. It has embedded DRAM, dual 440 cores with dual precision FPUs and auxiliary computing units providing acceleration and protection for communications, complex algorithms and synchronization between cores.[15]

PowerPC 450[edit]

The processing core of the Blue Gene/P supercomputer is designed and manufactured by IBM. It is very similar to the PowerPC 440 but few details are disclosed.

Blue Gene/P
The Blue Gene/P processor consists of four PowerPC 450 cores running at 850 MHz reaching 13.6 gigaflops in total. IBM is claiming very power efficient design compared to other supercomputer processors.[16]

PowerPC 460[edit]

Introduced in 2006, the 460 cores are similar to the 440 but reach 1.4 GHz, are developed with multi-core applications in mind and have 24 additional digital signal processing (DSP) instructions. The cores are designed to be low-power but high performance and the 464-H90 is expected to draw only 0.53 W at 1 GHz. The 460 core adheres to Power ISA v.2.03 using the Book III-E specification.

  • PowerPC 460S – a completely synthesized core and can be licensed from IBM or Synopsys for manufacturing on different foundries. 460S can be configured with different amounts of L1 and L2 cache as well as with or without SMP and FPU.[17]
  • PowerPC 464-H90 – a 90 nm, hard core (not synthesizable), released in 2006, will offer a customizable core for ASICs that can be manufactured with IBM or at manufacturing facilities at Chartered or Samsung.
  • PowerPC 464FP-H90 – released in 2007, is a hard core that adds a double precision floating point unit (FPU).

AppliedMicro[edit]

  • PowerPC 460SX and 460GTx are based on the 464-H90 core. They are targeted towards very high-end storage and networking applications, respectively. They run at 0.8 to 1.2 GHz, have 512 KB of L2 cache that doubles as SRAM storage, a 400 MHz clock DDR2 memory controller, four Gigabit Ethernet controllers, PCIe controllers and a variety of application-specific accelerators and controller facilities. They are manufactured on a 90 nm process.
  • APM82181 – a 0.8–1 GHz 464-based SoC designed for storage devices. DDR2-controller, 256 KB SRAM configurable as L2 cache. PCIe, SATA2, USB2, Gbit Ethernet and various other I/O interfaces and accelerators like TCP/IP offloading, and RAID5 and cryptography accelerators
  • APM86190 and APM86290 PACKETpro – codenamed "Mamba", they are single and dual core SoC processors based on the PowerPC 465 core, running at 0.6-1.5 GHz. 32 KB instruction/32 KB data L1 caches and 256 KB L2 cache, DDR3 controller, PCIe, SATA2, USB2, Gbit Ethernet and various other I/O interfaces and accelerators like TCP/IP offloading and a cryptography accelerator with non-volatile storage for crypto keys and secure boot and tampering detection.
  • APM86791 PACKETpro – codenamed "Keelback", it is a single core SoC processor based on the PowerPC 465 core running at 1 GHz with 32 KB instruction/32 KB data L1 caches and 256 KB L2 cache, DDR3 controller, 2x PCIe, 2x SATA2, 2x USB2, 4x Gbit Ethernet. It also incorporates an ARM Cortex-M3 based cryptography accelerator named SLIMpro running at 250 MHz that allows secure booting from ROM and tempering detection.[18][19]

PowerPC 470[edit]

The 470 embedded and customizable core, adhering to the Power ISA v2.05 Book III-E, was designed by IBM together with LSI and implemented in the PowerPC 476FP in 2009.[20] The 476FP core has 32/32 kB L1 cache, dual integer units and a SIMD capable double precision FPU that handles DSP instructions. Emitting 1.6 W at 1.6 GHz on a 45 nm fabrication process. The 9 stage out of order, 5-issue pipeline handles speeds up to 2 GHz, supports the PLB6 bus, up to 1 MB L2 cache and up to 16 cores in SMP configurations.

  • LSI Axxia ACP3448 – 1.8 GHz, 4× 476FP cores, 512 kB L2 cache per core, 4 MB L3 cache on chip, 2× DDR3 controllers, 2× 10 Gbit Ethernet, 3× PCIe, and a variety of network-processing engines[21]
  • C*Core C1000 – a family of 476FP-core-based embedded processors for consumer electronics[22]
  • ChinaChip CC2000 – a 476FP-core-based processor with integrated DSP and GPU for game consoles
  • NTC Module 1888TKh018 – SoC for aircraft onboard video and multimedia processing systems

See also[edit]

References[edit]

  1. ^ The PowerPC Goes Consumer Archived 2006-05-26 at the Wayback Machine, BYTE, Sept 1996
  2. ^ 401 based Set-top box, IBM
  3. ^ "IBM's PowerPC 405 Core Available for Qualified Academic and Research Community". Power.org. Archived from the original on 2007-05-24. Retrieved 2006-11-14.
  4. ^ "STB043xx - STB045xx Set-Top Box Integrated Controllers - IBM Microelectronics".
  5. ^ http://www.hifn.com/uploadedFiles/Company/News_and_Events/Press_Releases/20040105.pdf[bare URL PDF]
  6. ^ PPC405-S Embedded Processor Core User’s Manual Archived 2012-03-01 at the Wayback Machine
  7. ^ Halfhill, Tom R. (12 July 1999). "PowerPC 405GP Has CoreConnect Bus". Microprocessor Report.
  8. ^ Halfhill, Tom R. (11 November 2002). "IBM PowerPC 405EP Expands Family". Microprocessor Report.
  9. ^ "IOP/Deckard". PS2 Dev Wiki.
  10. ^ "Culturecom". Archived from the original on 2007-09-30. Retrieved 2007-09-27.
  11. ^ "AppliedMicro APM801xx Energy-Efficient Processor Family Targets 1-Watt Video-Enabled Consumer Devices". Embedded Computing Design. Archived from the original on 2010-05-25. Retrieved 2010-08-16.
  12. ^ Todd Rosedahl (2014-12-20). "OCC Firmware Code is Now Open Source". openpowerfoundation.org. Retrieved 2014-12-27.
  13. ^ "PowerPC 460EX Embedded Processor" (PDF).
  14. ^ "SAS2008 I/O Controller".
  15. ^ "CPU Tech Launches Acalis(R) CPU872 MultiCore PowerPC(R) with Embedded DRAM and Tamper Protection - Business Wire" (Press release). 28 April 2008.
  16. ^ "IBM News room - 2007-06-26 IBM Triples Performance of World's Fastest, Most Energy-Efficient Supercomputer - United States".
  17. ^ "IBM PowerPC 460 Microprocessor Cores". Archived from the original on 2015-05-30. Retrieved 2008-11-16.
  18. ^ ARM CPU Secures APM Processor – The Liney Group
  19. ^ Applied Micro adds ARM core in cut down security processor – EETimes
  20. ^ "IBM News room - 2009-09-15 IBM Announces Highest Performance Embedded Processor for System-on-Chip Designs - United States". IBM.
  21. ^ "New Axxia Communication Processor From LSI Features Asymmetric Multicore Architecture and Breakthrough Performance". LSI Corporation. 9 February 2010.
  22. ^ "China IP provider plans Power family". EETimes.

Further reading[edit]

External links[edit]