Multigate device

From Wikipedia, the free encyclopedia
(Redirected from Tri-Gate)
A dual-gate MOSFET and schematic symbol

A multigate device, multi-gate MOSFET or multi-gate field-effect transistor (MuGFET) refers to a metal–oxide–semiconductor field-effect transistor (MOSFET) that has more than one gate on a single transistor. The multiple gates may be controlled by a single gate electrode, wherein the multiple gate surfaces act electrically as a single gate, or by independent gate electrodes. A multigate device employing independent gate electrodes is sometimes called a multiple-independent-gate field-effect transistor (MIGFET). The most widely used multi-gate devices are the FinFET (fin field-effect transistor) and the GAAFET (gate-all-around field-effect transistor), which are non-planar transistors, or 3D transistors.

Multi-gate transistors are one of the several strategies being developed by MOS semiconductor manufacturers to create ever-smaller microprocessors and memory cells, colloquially referred to as extending Moore's law (in its narrow, specific version concerning density scaling, exclusive of its careless historical conflation with Dennard scaling).[1] Development efforts into multigate transistors have been reported by the Electrotechnical Laboratory, Toshiba, Grenoble INP, Hitachi, IBM, TSMC, UC Berkeley, Infineon Technologies, Intel, AMD, Samsung Electronics, KAIST, Freescale Semiconductor, and others, and the ITRS predicted correctly that such devices will be the cornerstone of sub-32 nm technologies.[2] The primary roadblock to widespread implementation is manufacturability, as both planar and non-planar designs present significant challenges, especially with respect to lithography and patterning. Other complementary strategies for device scaling include channel strain engineering, silicon-on-insulator-based technologies, and high-κ/metal gate materials.

Dual-gate MOSFETs are commonly used in very high frequency (VHF) mixers and in sensitive VHF front-end amplifiers. They are available from manufacturers such as Motorola, NXP Semiconductors, and Hitachi.[3][4][5]

Types[edit]

Several multigate models

Dozens of multigate transistor variants may be found in the literature. In general, these variants may be differentiated and classified in terms of architecture (planar vs. non-planar design) and the number of channels/gates (2, 3, or 4).

Planar double-gate MOSFET (DGMOS)[edit]

A planar double-gate MOSFET (DGMOS) employs conventional planar (layer-by-layer) manufacturing processes to create double-gate MOSFET (metal–oxide–semiconductor field-effect transistor) devices, avoiding more stringent lithography requirements associated with non-planar, vertical transistor structures. In planar double-gate transistors the drain–source channel is sandwiched between two independently fabricated gate/gate-oxide stacks. The primary challenge in fabricating such structures is achieving satisfactory self-alignment between the upper and lower gates.[6]

FlexFET[edit]

FlexFET is a planar, independently double-gated transistor with a damascene metal top gate MOSFET and an implanted JFET bottom gate that are self-aligned in a gate trench. This device is highly scalable due to its sub-lithographic channel length; non-implanted ultra-shallow source and drain extensions; non-epi raised source and drain regions; and gate-last flow. FlexFET is a true double-gate transistor in that (1) both the top and bottom gates provide transistor operation, and (2) the operation of the gates is coupled such that the top gate operation affects the bottom gate operation and vice versa.[7] FlexFET was developed and is manufactured by American Semiconductor, Inc.

FinFET[edit]

A double-gate FinFET device
An SOI FinFET MOSFET
The NVIDIA GTX 1070 from 2016, which uses a 16 nm FinFET-based Pascal chip manufactured by TSMC

FinFET (fin field-effect transistor) is a type of non-planar transistor, or "3D" transistor (not to be confused with 3D microchips).[8] The FinFET is a variation on traditional MOSFETs distinguished by the presence of a thin silicon "fin" inversion channel on top of the substrate, allowing the gate to make two points of contact: the left and right sides of the fin. The thickness of the fin (measured in the direction from source to drain) determines the effective channel length of the device. The wrap-around gate structure provides a better electrical control over the channel and thus helps in reducing the leakage current and overcoming other short-channel effects.

The first FinFET transistor type was called a "Depleted Lean-channel Transistor" or "DELTA" transistor, which was first fabricated by Hitachi Central Research Laboratory's Digh Hisamoto, Toru Kaga, Yoshifumi Kawamoto and Eiji Takeda in 1989.[9][10][11] In the late 1990s, Digh Hisamoto began collaborating with an international team of researchers on further developing DELTA technology, including TSMC's Chenming Hu and a UC Berkeley research team including Tsu-Jae King Liu, Jeffrey Bokor, Xuejue Huang, Leland Chang, Nick Lindert, S. Ahmed, Cyrus Tabery, Yang-Kyu Choi, Pushkar Ranade, Sriram Balasubramanian, A. Agarwal and M. Ameen. In 1998, the team developed the first N-channel FinFETs and successfully fabricated devices down to a 17 nm process. The following year, they developed the first P-channel FinFETs.[12] They coined the term "FinFET" (fin field-effect transistor) in a December 2000 paper.[13]

In current usage the term FinFET has a less precise definition. Among microprocessor manufacturers, AMD, IBM, and Freescale describe their double-gate development efforts as FinFET[14] development, whereas Intel avoids using the term when describing their closely related tri-gate architecture.[15] In the technical literature, FinFET is used somewhat generically to describe any fin-based, multigate transistor architecture regardless of number of gates. It is common for a single FinFET transistor to contain several fins, arranged side by side and all covered by the same gate, that act electrically as one, to increase drive strength and performance.[16] The gate may also cover the entirety of the fin(s).

A 25 nm transistor operating on just 0.7 volt was demonstrated in December 2002 by TSMC (Taiwan Semiconductor Manufacturing Company). The "Omega FinFET" design is named after the similarity between the Greek letter omega (Ω) and the shape in which the gate wraps around the source/drain structure. It has a gate delay of just 0.39 picosecond (ps) for the N-type transistor and 0.88 ps for the P-type.

In 2004, Samsung Electronics demonstrated a "Bulk FinFET" design, which made it possible to mass-produce FinFET devices. They demonstrated dynamic random-access memory (DRAM) manufactured with a 90 nm Bulk FinFET process.[12] In 2006, a team of Korean researchers from the Korea Advanced Institute of Science and Technology (KAIST) and the National Nano Fab Center developed a 3 nm transistor, the world's smallest nanoelectronic device, based on FinFET technology.[17][18] In 2011, Rice University researchers Masoud Rostami and Kartik Mohanram demonstrated that FINFETs can have two electrically independent gates, which gives circuit designers more flexibility to design with efficient, low-power gates.[19]

In 2012, Intel started using FinFETs for its future commercial devices. Leaks suggest that Intel's FinFET has an unusual shape of a triangle rather than rectangle, and it is speculated that this might be either because a triangle has a higher structural strength and can be more reliably manufactured or because a triangular prism has a higher area-to-volume ratio than a rectangular prism, thus increasing switching performance.[20]

In September 2012, GlobalFoundries announced plans to offer a 14-nanometer process technology featuring FinFET three-dimensional transistors in 2014.[21] The next month, the rival company TSMC announced start early or "risk" production of 16 nm FinFETs in November 2013.[22]

In March 2014, TSMC announced that it is nearing implementation of several 16 nm FinFETs die-on wafers manufacturing processes:[23]

  • 16 nm FinFET (Q4 2014),
  • 16 nm FinFET+ (cca[clarify] Q4 2014),
  • 16 nm FinFET "Turbo" (estimated in 2015–2016).

AMD released GPUs using their Polaris chip architecture and made on 14 nm FinFET in June 2016.[24] The company has tried to produce a design to provide a "generational jump in power efficiency" while also offering stable frame rates for graphics, gaming, virtual reality, and multimedia applications.[25]

In March 2017, Samsung and eSilicon announced the tapeout for production of a 14 nm FinFET ASIC in a 2.5D package.[26][27]

Tri-gate transistor[edit]

A tri-gate transistor, also known as a triple-gate transistor, is a type of MOSFET with a gate on three of its sides.[28] A triple-gate transistor was first demonstrated in 1987, by a Toshiba research team including K. Hieda, Fumio Horiguchi and H. Watanabe. They realized that the fully depleted (FD) body of a narrow bulk Si-based transistor helped improve switching due to a lessened body-bias effect.[29][30] In 1992, a triple-gate MOSFET was demonstrated by IBM researcher Hon-Sum Wong.[31]

Intel announced this technology in September 2002.[32] Intel announced "triple-gate transistors" which maximize "transistor switching performance and decreases power-wasting leakage". A year later, in September 2003, AMD announced that it was working on similar technology at the International Conference on Solid State Devices and Materials.[33][34] No further announcements of this technology were made until Intel's announcement in May 2011, although it was stated at IDF 2011, that they demonstrated a working SRAM chip based on this technology at IDF 2009.[35]

On April 23, 2012, Intel released a new line of CPUs, termed Ivy Bridge, which feature tri-gate transistors.[36][37] Intel has been working on its tri-gate architecture since 2002, but it took until 2011 to work out mass-production issues. The new style of transistor was described on May 4, 2011, in San Francisco.[38] It was announced that Intel's factories were expected to make upgrades over 2011 and 2012 to be able to manufacture the Ivy Bridge CPUs.[39] It was announced that the new transistors would also be used in Intel's Atom chips for low-powered devices.[38]

Tri-gate fabrication was used by Intel for the non-planar transistor architecture used in Ivy Bridge, Haswell and Skylake processors. These transistors employ a single gate stacked on top of two vertical gates (a single gate wrapped over three sides of the channel), allowing essentially three times the surface area for electrons to travel. Intel reports that their tri-gate transistors reduce leakage and consume far less power than previous transistors. This allows up to 37% higher speed or a power consumption at under 50% of the previous type of transistors used by Intel.[40][41]

Intel explains: "The additional control enables as much transistor current flowing as possible when the transistor is in the 'on' state (for performance), and as close to zero as possible when it is in the 'off' state (to minimize power), and enables the transistor to switch very quickly between the two states (again, for performance)."[42] Intel has stated that all products after Sandy Bridge will be based upon this design.

The term tri-gate is sometimes used generically to denote any multigate FET with three effective gates or channels.[43]

Gate-all-around FET (GAAFET)[edit]

Gate-all-around FETs (GAAFETs) are the successor to FinFETs, as they can work at sizes below 7 nm. They were used by IBM to demonstrate 5 nm process technology.

GAAFET, also known as a surrounding-gate transistor (SGT),[44][45] is similar in concept to a FinFET except that the gate material surrounds the channel region on all sides. Depending on design, gate-all-around FETs can have two or four effective gates. Gate-all-around FETs have been successfully characterized both theoretically and experimentally.[46][47] They have also been successfully etched onto InGaAs nanowires, which have a higher electron mobility than silicon.[48]

A gate-all-around (GAA) MOSFET was first demonstrated in 1988, by a Toshiba research team including Fujio Masuoka, Hiroshi Takato, and Kazumasa Sunouchi, who demonstrated a vertical nanowire GAAFET which they called a "surrounding gate transistor" (SGT).[49][50][45] Masuoka, best known as the inventor of flash memory, later left Toshiba and founded Unisantis Electronics in 2004 to research surrounding-gate technology along with Tohoku University.[51] In 2006, a team of Korean researchers from the Korea Advanced Institute of Science and Technology (KAIST) and the National Nano Fab Center developed a 3 nm transistor, the world's smallest nanoelectronic device, based on gate-all-around (GAA) FinFET technology.[52][18] GAAFET transistors may make use of high-k/metal gate materials. GAAFETs with up to 7 nanosheets have been demonstrated which allow for improved performance and/or reduced device footprint. The widths of the nanosheets in GAAFETs is controllable which more easily allows for the adjustment of device characteristics.[53]

As of 2020, Samsung and Intel have announced plans to mass produce GAAFET transistors (specifically MBCFET transistors) while TSMC has announced that they will continue to use FinFETs in their 3 nm node,[54] despite TSMC developing GAAFET transistors.[55]

Multi-bridge channel (MBC) FET[edit]

A multi-bridge channel FET (MBCFET) is similar to a GAAFET except for the use of nanosheets instead of nanowires.[56] MBCFET is a word mark (trademark) registered in the U.S. to Samsung Electronics.[57] Samsung plans on mass producing MBCFET transistors at the 3 nm node for its foundry customers.[58] Intel is also developing RibbonFET, a variation of MBCFET "nanoribbon" transistors.[59][60] Unlike FinFETs, both the width and the number of the sheets can be varied to adjust drive strength or the amount of current the transistor can drive at a given voltage. The sheets often vary from 8 to 50 nanometers in width. The width of the nanosheets is known as Weff, or effective width.[61][62]

Industry need[edit]

Planar transistors have been the core of integrated circuits for several decades, during which the size of the individual transistors has steadily decreased. As the size decreases, planar transistors increasingly suffer from the undesirable short-channel effect, especially "off-state" leakage current, which increases the idle power required by the device.[63]

In a multigate device, the channel is surrounded by several gates on multiple surfaces. Thus it provides better electrical control over the channel, allowing more effective suppression of "off-state" leakage current. Multiple gates also allow enhanced current in the "on" state, also known as drive current. Multigate transistors also provide a better analog performance due to a higher intrinsic gain and lower channel length modulation.[64] These advantages translate to lower power consumption and enhanced device performance. Nonplanar devices are also more compact than conventional planar transistors, enabling higher transistor density which translates to smaller overall microelectronics.

Integration challenges[edit]

The primary challenges to integrating nonplanar multigate devices into conventional semiconductor manufacturing processes include:

  • Fabrication of a thin silicon "fin" tens of nanometers wide
  • Fabrication of matched gates on multiple sides of the fin

Compact modeling[edit]

Different FinFET structures, which can be modeled by BSIM-CMG

BSIMCMG106.0.0,[65] officially released on March 1, 2012 by UC Berkeley BSIM Group, is the first standard model for FinFETs. BSIM-CMG is implemented in Verilog-A. Physical surface-potential-based formulations are derived for both intrinsic and extrinsic models with finite body doping. The surface potentials at the source and drain ends are solved analytically with poly-depletion and quantum mechanical effects. The effect of finite body doping is captured through a perturbation approach. The analytic surface potential solution agrees closely with the 2-D device simulation results. If the channel doping concentration is low enough to be neglected, computational efficiency can be further improved by a setting a specific flag (COREMOD = 1).

All of the important multi-gate (MG) transistor behavior is captured by this model. Volume inversion is included in the solution of Poisson's equation, hence the subsequent I–V formulation automatically captures the volume-inversion effect. Analysis of electrostatic potential in the body of MG MOSFETs provided a model equation for short-channel effects (SCE). The extra electrostatic control from the end gates (top/bottom gates) (triple or quadruple-gate) is also captured in the short-channel model.

See also[edit]

References[edit]

  1. ^ Risch, L. "Pushing CMOS Beyond the Roadmap", Proceedings of ESSCIRC, 2005, p. 63.
  2. ^ Table39b Archived September 27, 2007, at the Wayback Machine
  3. ^ "Motorola 3N201 Datasheet - Datasheetspdf.com". Datasheetpdf.com. Retrieved 2023-01-08.
  4. ^ "3SK45 Datasheet - Alldatasheet.com" (PDF). Retrieved 2023-01-08.
  5. ^ "BF1217WR Datasheet" (PDF). Retrieved 2023-01-08.
  6. ^ Wong, H-S.; Chan, K.; Taur, Y. (December 10, 1997). "Self-aligned (Top and bottom) double-gate MOSFET with a 25 nm thick silicon channel". 1997 International Electron Devices Meeting: Technical Digest. pp. 427–430. doi:10.1109/IEDM.1997.650416. ISBN 978-0-7803-4100-5. ISSN 0163-1918. S2CID 20947344.
  7. ^ Wilson, D.; Hayhurst, R.; Oblea, A.; Parke, S.; Hackler, D. "Flexfet: Independently-Double-Gated SOI Transistor With Variable Vt and 0.5V Operation Achieving Near Ideal Subthreshold Slope" SOI Conference, 2007 IEEE International Archived April 3, 2015, at the Wayback Machine
  8. ^ "What is Finfet?". Computer Hope. April 26, 2017. Retrieved 4 July 2019.
  9. ^ "IEEE Andrew S. Grove Award Recipients". IEEE Andrew S. Grove Award. Institute of Electrical and Electronics Engineers. Retrieved 4 July 2019.
  10. ^ Colinge, J.P. (2008). FinFETs and Other Multi-Gate Transistors. Springer Science & Business Media. pp. 11 & 39. ISBN 978-0-387-71751-7.
  11. ^ Hisamoto, D.; Kaga, T.; Kawamoto, Y.; Takeda, E. (December 1989). "A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET". International Technical Digest on Electron Devices Meeting. pp. 833–836. doi:10.1109/IEDM.1989.74182. S2CID 114072236.
  12. ^ a b Tsu-Jae King, Liu (June 11, 2012). "FinFET: History, Fundamentals and Future". University of California, Berkeley. Symposium on VLSI Technology Short Course. Retrieved 9 July 2019.
  13. ^ Hisamoto, Digh; Hu, Chenming; Bokor, J.; King, Tsu-Jae; Anderson, E.; et al. (December 2000). "FinFET-a self-aligned double-gate MOSFET scalable to 20 nm". IEEE Transactions on Electron Devices. 47 (12): 2320–2325. Bibcode:2000ITED...47.2320H. CiteSeerX 10.1.1.211.204. doi:10.1109/16.887014.
  14. ^ "AMD Newsroom". Amd.com. 2002-09-10. Archived from the original on 2010-05-13. Retrieved 2015-07-07.
  15. ^ "Intel Silicon Technology Innovations". Intel.com. Archived from the original on September 3, 2011. Retrieved 2014-03-10.
  16. ^ Shimpi, Anand Lal. "Intel Announces first 22nm 3D Tri-Gate Transistors, Shipping in 2H 2011". www.anandtech.com.
  17. ^ "Still Room at the Bottom.(nanometer transistor developed by Yang-kyu Choi from the Korea Advanced Institute of Science and Technology )", Nanoparticle News, 1 April 2006, archived from the original on 6 November 2012
  18. ^ a b Lee, Hyunjin; et al. (2006). "Sub-5nm All-Around Gate FinFET for Ultimate Scaling". 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers. pp. 58–59. doi:10.1109/VLSIT.2006.1705215. hdl:10203/698. ISBN 978-1-4244-0005-8. S2CID 26482358.
  19. ^ Rostami, M.; Mohanram, K. (2011). "Dual-Vth$ Independent-Gate FinFETs for Low Power Logic Circuits". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 30 (3): 337–349. doi:10.1109/TCAD.2010.2097310. hdl:1911/72088. S2CID 2225579.
  20. ^ "Intel's FinFETs are less fin and more triangle". EE Times. Archived from the original on 2013-05-31. Retrieved 2014-03-10.
  21. ^ "Globalfoundries looks leapfrog fab rivals with new process". EE Times. Archived from the original on 2013-02-02. Retrieved 2014-03-10.
  22. ^ "TSMC taps ARM's V8 on road to 16 nm FinFET". EE Times. Archived from the original on 2012-11-01. Retrieved 2014-03-10.
  23. ^ Josephine Lien; Steve Shen (31 March 2014). "TSMC likely to launch 16 nm FinFET+ process at year-end 2014, and "FinFET Turbo" later in 2015-16". DIGITIMES. Retrieved 2014-03-31.
  24. ^ Smith, Ryan. "The AMD Radeon RX 480 Preview: Polaris Makes Its Mainstream Mark". Retrieved 2018-06-03.
  25. ^ "AMD Demonstrates Revolutionary 14nm FinFET Polaris GPU Architecture". AMD. Retrieved 2016-01-04.
  26. ^ "High-performance, high-bandwidth IP platform for Samsung 14LPP process technology". 2017-03-22.
  27. ^ "Samsung and eSilicon Taped Out 14nm Network Processor with Rambus 28G SerDes Solution". 2017-03-22.
  28. ^ Colinge, J.P. (2008). FinFETs and Other Multi-Gate Transistors. Springer Science & Business Media. p. 12. ISBN 978-0-387-71751-7.
  29. ^ Hieda, K.; Horiguchi, Fumio; Watanabe, H.; Sunouchi, Kazumasa; Inoue, I.; Hamamoto, Takeshi (December 1987). "New effects of trench isolated transistor using side-wall gates". 1987 International Electron Devices Meeting. pp. 736–739. doi:10.1109/IEDM.1987.191536. S2CID 34381025.
  30. ^ Brozek, Tomasz (2017). Micro- and Nanoelectronics: Emerging Device Challenges and Solutions. CRC Press. pp. 116–7. ISBN 978-1-351-83134-5.
  31. ^ Wong, Hon-Sum (December 1992). "Gate-current injection and surface impact ionization in MOSFET's with a gate induced virtual drain". International Technical Digest on Electron Devices Meeting. 1992 International Technical Digest on Electron Devices Meeting. pp. 151–154. doi:10.1109/IEDM.1992.307330. ISBN 0-7803-0817-4. S2CID 114058374.
  32. ^ High Performance Non-Planar Tri-gate Transistor Architecture; Dr. Gerald Marcyk. Intel, 2002
  33. ^ [1][dead link]
  34. ^ "AMD Details Its Triple-Gate Transistors". Xbitlabs.com. Archived from the original on 2014-03-10. Retrieved 2014-03-10.
  35. ^ "IDF 2011: Intel Looks to Take a Bite Out of ARM, AMD With 3D FinFET Tech". DailyTech. Archived from the original on 2014-03-10. Retrieved 2014-03-10.
  36. ^ Miller, Michael J. "Intel Releases Ivy Bridge: First Processor with "Tri-Gate" Transistor". PC Magazine. Archived from the original on 2019-12-28. Retrieved 2012-04-23.
  37. ^ "Intel Reinvents Transistors Using New 3-D Structure". Intel. Retrieved 5 April 2011.
  38. ^ a b "Transistors go 3D as Intel re-invents the microchip". Ars Technica. 5 May 2011. Retrieved 7 May 2011.
  39. ^ Murray, Matthew (4 May 2011). "Intel's New Tri-Gate Ivy Bridge Transistors: 9 Things You Need to Know". PC Magazine. Retrieved 7 May 2011.
  40. ^ Cartwright J. (2011). "Intel enters the third dimension". Nature. doi:10.1038/news.2011.274. Retrieved 2015-05-10.
  41. ^ Intel to Present on 22-nm Tri-gate Technology at VLSI Symposium (ElectroIQ 2012) Archived April 15, 2012, at the Wayback Machine
  42. ^ "Below 22nm, spacers get unconventional: Interview with ASM". ELECTROIQ. Retrieved 2011-05-04.
  43. ^ Dan Grabham (2011-05-06). "Intel's Tri-Gate transistors: everything you need to know". TechRadar. Retrieved 2022-01-21.
  44. ^ Claeys, C.; Murota, J.; Tao, M.; Iwai, H.; Deleonibus, S. (2015). ULSI Process Integration 9. The Electrochemical Society. p. 109. ISBN 978-1-60768-675-0.
  45. ^ a b Ishikawa, Fumitaro; Buyanova, Irina (2017). Novel Compound Semiconductor Nanowires: Materials, Devices, and Applications. CRC Press. p. 457. ISBN 978-1-315-34072-2.
  46. ^ Singh, N.; Agarwal, A.; Bera, L. K.; Liow, T. Y.; Yang, R.; Rustagi, S. C.; Tung, C. H.; Kumar, R.; Lo, G. Q.; Balasubramanian, N.; Kwong, D. (2006). "High-Performance fully depleted Silicon Nanowire Gate-All-Around CMOS devices". IEEE Electron Device Letters. 27 (5): 383–386. Bibcode:2006IEDL...27..383S. doi:10.1109/LED.2006.873381. ISSN 0741-3106. S2CID 45576648.
  47. ^ Dastjerdy, E.; Ghayour, R.; Sarvari, H. (August 2012). "Simulation and analysis of the frequency performance of a new silicon nanowire MOSFET structure". Physica E. 45: 66–71. Bibcode:2012PhyE...45...66D. doi:10.1016/j.physe.2012.07.007.
  48. ^ Gu, J. J.; Liu, Y. Q.; Wu, Y. Q.; Colby, R.; Gordon, R. G.; Ye, P. D. (December 2011). "First experimental demonstration of gate-all-around III–V MOSFETs by top-down approach" (PDF). 2011 International Electron Devices Meeting. pp. 33.2.1–33.2.4. arXiv:1112.3573. Bibcode:2011arXiv1112.3573G. doi:10.1109/IEDM.2011.6131662. ISBN 978-1-4577-0505-2. S2CID 2116042. Retrieved 2015-05-10.
  49. ^ Masuoka, Fujio; Takato, Hiroshi; Sunouchi, Kazumasa; Okabe, N.; Nitayama, Akihiro; Hieda, K.; Horiguchi, Fumio (December 1988). "High performance CMOS surrounding gate transistor (SGT) for ultra high density LSIs". Technical Digest., International Electron Devices Meeting. pp. 222–225. doi:10.1109/IEDM.1988.32796. S2CID 114148274.
  50. ^ Brozek, Tomasz (2017). Micro- and Nanoelectronics: Emerging Device Challenges and Solutions. CRC Press. p. 117. ISBN 978-1-351-83134-5.
  51. ^ "Company Profile". Unisantis Electronics. Archived from the original on 22 February 2007. Retrieved 17 July 2019.
  52. ^ "Still Room at the Bottom.(nanometer transistor developed by Yang-kyu Choi from the Korea Advanced Institute of Science and Technology )", Nanoparticle News, 1 April 2006, archived from the original on 6 November 2012, retrieved 17 July 2019
  53. ^ LaPedus, Mark (25 January 2021). "New Transistor Structures At 3nm/2nm". Semiconductor Engineering. Retrieved 23 December 2022.
  54. ^ Cutress, Dr Ian. "Where are my GAA-FETs? TSMC to Stay with FinFET for 3nm". www.anandtech.com.
  55. ^ "TSMC Plots an Aggressive Course for 3 nm Lithography and Beyond - ExtremeTech". www.extremetech.com.
  56. ^ Cutress, Ian. "Samsung Announces 3 nm GAA MBCFET PDK, Version 0.1". www.anandtech.com.
  57. ^ "MBCFET Trademark of Samsung Electronics Co., Ltd. - Registration Number 5495359 - Serial Number 87447776 :: Justia Trademarks". trademarks.justia.com. Retrieved 2020-01-16.
  58. ^ "Samsung at foundry event talks about 3nm, MBCFET developments". techxplore.com.
  59. ^ "Scaling Down: Intel Boasts RibbonFET and PowerVia as Next IC Design Solution - News". www.allaboutcircuits.com. Retrieved 2022-09-14.
  60. ^ Cutress, Dr Ian. "Intel to use Nanowire/Nanoribbon Transistors in Volume 'in Five Years'". www.anandtech.com.
  61. ^ "Samsung's 3-nm Tech Shows Nanosheet Transistor Advantage - IEEE Spectrum".
  62. ^ "Nanosheets: IBM's Path to 5-Nanometer Transistors - IEEE Spectrum".
  63. ^ Subramanian V (2010). "Multiple gate field-effect transistors for future CMOS technologies". IETE Technical Review. 27 (6): 446–454. doi:10.4103/0256-4602.72582 (inactive 2024-02-28). Archived from the original on March 23, 2012.{{cite journal}}: CS1 maint: DOI inactive as of February 2024 (link)
  64. ^ Subramanian (5 Dec 2005). "Device and circuit-level analog performance trade-offs: A comparative study of planar bulk FETs versus FinFETs". IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International. pp. 898–901. doi:10.1109/IEDM.2005.1609503. ISBN 0-7803-9268-X. S2CID 32683938.
  65. ^ "BSIMCMG Model". UC Berkeley. Archived from the original on 2012-07-21.

External links[edit]